Follow
Jeremie S. Kim
Jeremie S. Kim
Verified email at andrew.cmu.edu
Title
Cited by
Cited by
Year
Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors
Y Kim, R Daly, J Kim, C Fallin, JH Lee, D Lee, C Wilkerson, K Lai, O Mutlu
ACM SIGARCH Computer Architecture News 42 (3), 361-372, 2014
13932014
Ambit: In-memory accelerator for bulk bitwise operations using commodity DRAM technology
V Seshadri, D Lee, T Mullins, H Hassan, A Boroumand, J Kim, MA Kozuch, ...
Proceedings of the 50th Annual IEEE/ACM International Symposium on …, 2017
5712017
Rowhammer: A retrospective
O Mutlu, JS Kim
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
2252019
GRIM-Filter: Fast seed location filtering in DNA read mapping using processing-in-memory technologies
JS Kim, D Senol Cali, H Xin, D Lee, S Ghose, M Alser, H Hassan, O Ergin, ...
BMC genomics 19, 23-40, 2018
196*2018
Nanopore sequencing technology and tools for genome assembly: computational analysis of the current state, bottlenecks and future directions
D Senol Cali, JS Kim, S Ghose, C Alkan, O Mutlu
Briefings in bioinformatics 20 (4), 1542-1559, 2019
1932019
The DRAM latency PUF: Quickly evaluating physical unclonable functions by exploiting the latency-reliability tradeoff in modern commodity DRAM devices
JS Kim, M Patel, H Hassan, O Mutlu
2018 IEEE International Symposium on High Performance Computer Architecture …, 2018
1772018
Processing-in-memory: A workload-driven perspective
S Ghose, A Boroumand, JS Kim, J Gómez-Luna, O Mutlu
IBM Journal of Research and Development 63 (6), 3: 1-3: 19, 2019
1742019
Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques
JS Kim, M Patel, AG Yaglikci, H Hassan, R Azizi, L Orosa, O Mutlu
International Symposium on Computer Architecture, 2020
1572020
The reach profiler (reaper) enabling the mitigation of dram retention failures via profiling at aggressive conditions
M Patel, JS Kim, O Mutlu
ACM SIGARCH Computer Architecture News 45 (2), 255-268, 2017
1482017
GenASM: A high-performance, low-power approximate string matching acceleration framework for genome sequence analysis
DS Cali, GS Kalsi, Z Bingöl, C Firtina, L Subramanian, JS Kim, ...
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
1222020
D-RaNGe: Using Commodity DRAM Devices to Generate True Random Numbers with Low Latency and High Throughput
JS Kim, M Patel, H Hassan, L Orosa, O Mutlu
IEEE International Symposium on High Performance Computer Architecture 14, 2019
1102019
Are We Susceptible to Rowhammer? An End-to-End Methodology for Cloud Providers
L Cojocar, J Kim, M Patel, L Tsai, S Saroiu, A Wolman, O Mutlu
IEEE Symposium on Security and Privacy, 2020
1012020
FLIN: Enabling fairness and enhancing performance in modern NVMe solid state drives
A Tavakkol, M Sadrosadati, S Ghose, J Kim, Y Luo, Y Wang, NM Ghiasi, ...
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture …, 2018
922018
Blockhammer: Preventing rowhammer at low cost by blacklisting rapidly-accessed dram rows
AG Yağlikçi, M Patel, JS Kim, R Azizi, A Olgun, L Orosa, H Hassan, J Park, ...
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
912021
Buddy-RAM: Improving the performance and efficiency of bulk bitwise operations using DRAM
V Seshadri, D Lee, T Mullins, H Hassan, A Boroumand, J Kim, MA Kozuch, ...
arXiv preprint arXiv:1611.09988, 2016
842016
Figaro: Improving system performance via fine-grained in-dram data relocation and caching
Y Wang, L Orosa, X Peng, Y Guo, S Ghose, M Patel, JS Kim, JG Luna, ...
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
812020
Solar-DRAM: Reducing DRAM access latency by exploiting the variation in local bitlines
J Kim, M Patel, H Hassan, O Mutlu
2018 IEEE 36th International Conference on Computer Design (ICCD), 282-291, 2018
752018
CROW: A Low-Cost Substrate for Improving DRAM Performance, Energy Efficiency, and Reliability
H Hassan, M Patel, JS Kim, AG Yaglikci, N Vijaykumar, NM Ghiasi, ...
International Symposium on Computer Architecture, 2019
742019
Uncovering in-dram rowhammer protection mechanisms: A new methodology, custom rowhammer patterns, and implications
H Hassan, YC Tugrul, JS Kim, V Van der Veen, K Razavi, O Mutlu
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
692021
A deeper look into rowhammer’s sensitivities: Experimental analysis of real dram chips and implications on future attacks and defenses
L Orosa, AG Yaglikci, H Luo, A Olgun, J Park, H Hassan, M Patel, JS Kim, ...
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
622021
The system can't perform the operation now. Try again later.
Articles 1–20