Follow
Yang (Katie) Zhao
Yang (Katie) Zhao
Postdoctoral Fellow, Georgia Institute of Technology
Verified email at umn.edu - Homepage
Title
Cited by
Cited by
Year
Hw-nas-bench: Hardware-aware neural architecture search benchmark
C Li, Z Yu, Y Fu, Y Zhang, Y Zhao, H You, Q Yu, Y Wang, Y Lin
arXiv preprint arXiv:2103.10584, 2021
1042021
Autodnnchip: An automated dnn chip predictor and builder for both fpgas and asics
P Xu, X Zhang, C Hao, Y Zhao, Y Zhang, Y Wang, C Li, Z Guan, D Chen, ...
Proceedings of the 2020 ACM/SIGDA International Symposium on Field …, 2020
992020
E2-train: Training state-of-the-art cnns with over 80% energy savings
Y Wang, Z Jiang, X Chen, P Xu, Y Zhao, Y Lin, Z Wang
Advances in Neural Information Processing Systems 32, 2019
852019
Timely: Pushing data movements and interfaces in pim accelerators towards local and in time domain
W Li, P Xu, Y Zhao, H Li, Y Xie, Y Lin
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
662020
Memory trojan attack on neural network accelerators
Y Zhao, X Hu, S Li, J Ye, L Deng, Y Ji, J Xu, D Wu, Y Xie
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2019
492019
Smartexchange: Trading higher-cost memory storage/access for lower-cost computation
Y Zhao, X Chen, Y Wang, C Li, H You, Y Fu, Y Xie, Z Wang, Y Lin
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
482020
Dnn-chip predictor: An analytical performance predictor for dnn accelerators with various dataflows and hardware architectures
Y Zhao, C Li, Y Wang, P Xu, Y Zhang, Y Lin
ICASSP 2020-2020 IEEE International Conference on Acoustics, Speech and …, 2020
452020
Practical attacks on deep neural networks by memory trojaning
X Hu, Y Zhao, L Deng, L Liang, P Zuo, J Ye, Y Lin, Y Xie
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
302020
Fractrain: Fractionally squeezing bit savings both temporally and spatially for efficient dnn training
Y Fu, H You, Y Zhao, Y Wang, C Li, K Gopalakrishnan, Z Wang, Y Lin
Advances in Neural Information Processing Systems 33, 12127-12139, 2020
302020
Vitcod: Vision transformer acceleration via dedicated algorithm and accelerator co-design
H You, Z Sun, H Shi, Z Yu, Y Zhao, Y Zhang, C Li, B Li, Y Lin
2023 IEEE International Symposium on High-Performance Computer Architecture …, 2023
232023
RT-NeRF: Real-time on-device neural radiance fields towards immersive AR/VR rendering
C Li, S Li, Y Zhao, W Zhu, Y Lin
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
212022
A new MRAM-based process in-memory accelerator for efficient neural network training with floating point precision
H Wang, Y Zhao, C Li, Y Wang, Y Lin
2020 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2020
182020
Energynet: Energy-efficient dynamic inference
Y Wang, T Nguyen, Y Zhao, Z Wang, Y Lin, R Baraniuk
172018
2-in-1 accelerator: Enabling random precision switch for winning both adversarial robustness and efficiency
Y Fu, Y Zhao, Q Yu, C Li, Y Lin
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
122021
Packet pump: Overcoming network bottleneck in on-chip interconnects for GPGPUs
X Cheng, Y Zhao, H Zhao, Y Xie
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
102018
Instant-3d: Instant neural radiance field training towards on-device ar/vr 3d reconstruction
S Li, C Li, W Zhu, B Yu, Y Zhao, C Wan, H You, H Shi, Y Lin
Proceedings of the 50th Annual International Symposium on Computer …, 2023
92023
EyeCoD: eye tracking system acceleration via flatcam-based algorithm & accelerator co-design
H You, C Wan, Y Zhao, Z Yu, Y Fu, J Yuan, S Wu, S Zhang, Y Zhang, C Li, ...
Proceedings of the 49th Annual International Symposium on Computer …, 2022
52022
I-FlatCam: A 253 FPS, 91.49 µJ/frame ultra-compact intelligent lensless camera for real-time and efficient eye tracking in VR/AR
Y Zhao, Z Li, Y Fu, Y Zhang, C Li, C Wan, H You, S Wu, X Ouyang, ...
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2022
52022
e-G2C: A 0.14-to-8.31 µJ/Inference NN-based Processor with Continuous On-chip Adaptation for Anomaly Detection and ECG Conversion from EGM
Y Zhao, Y Zhang, Y Fu, X Ouyang, C Wan, S Wu, A Banta, MM John, ...
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2022
42022
SmartDeal: Remodeling Deep Network Weights for Efficient Inference and Training
X Chen, Y Zhao, Y Wang, P Xu, H You, C Li, Y Fu, Y Lin, Z Wang
IEEE Transactions on Neural Networks and Learning Systems, 2022
42022
The system can't perform the operation now. Try again later.
Articles 1–20