Follow
Gwangsun Kim
Title
Cited by
Cited by
Year
Transparent Offloading and Mapping (TOM): Enabling Programmer-Transparent Near-Data Processing in GPU Systems
K Hsieh, E Ebrahimi, G Kim, N Chatterjee, M O’Connor, N Vijaykumar, ...
3032016
Memory-centric system interconnect design with hybrid memory cubes
G Kim, J Kim, JH Ahn, J Kim
Parallel Architectures and Compilation Techniques (PACT), 2013 22nd …, 2013
1782013
Flexibuffer: Reducing leakage power in on-chip network routers
G Kim, J Kim, S Yoo
Proceedings of the 48th Design Automation Conference, 936-941, 2011
832011
Overcoming far-end congestion in large-scale networks
J Won, G Kim, J Kim, T Jiang, M Parker, S Scott
2015 IEEE 21st International Symposium on High Performance Computer …, 2015
732015
Multi-GPU system design with memory networks
G Kim, M Lee, J Jeong, J Kim
2014 47th Annual IEEE/ACM International Symposium on Microarchitecture, 484-495, 2014
672014
Toward standardized near-data processing with unrestricted data placement for GPUs
G Kim, N Chatterjee, M O'Connor, K Hsieh
Proceedings of the International Conference for High Performance Computing …, 2017
622017
Accelerating linked-list traversal through near-data processing
B Hong, G Kim, JH Ahn, Y Kwon, H Kim, J Kim
Proceedings of the 2016 International Conference on Parallel Architectures …, 2016
552016
iPAWS: Instruction-issue pattern-based adaptive warp scheduling for GPGPUs
M Lee, G Kim, J Kim, W Seo, Y Cho, S Ryu
2016 IEEE International Symposium on High Performance Computer Architecture …, 2016
342016
Contention-based congestion management in large-scale networks
G Kim, C Kim, J Jeong, M Parker, J Kim
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture …, 2016
312016
Transportation-network-inspired network-on-chip
H Kim, G Kim, S Maeng, H Yeo, J Kim
2014 IEEE 20th International Symposium on High Performance Computer …, 2014
212014
Automatically exploiting implicit pipeline parallelism from multiple dependent kernels for gpus
G Kim, J Jeong, J Kim, M Stephenson
Proceedings of the 2016 International Conference on Parallel Architectures …, 2016
192016
Low-overhead network-on-chip support for location-oblivious task placement
G Kim, MMJ Lee, J Kim, JW Lee, D Abts, M Marty
IEEE Transactions on Computers 63 (6), 1487-1500, 2012
182012
Memory Network: Enabling Technology for Scalable Near-Data Computing
G Kim, J Kim, JH Ahn, Y Kwon
102014
Design and analysis of hybrid flow control for hierarchical ring network-on-chip
H Kim, G Kim, H Yeo, J Kim, S Maeng
IEEE Transactions on computers 65 (2), 480-494, 2015
92015
TCEP: Traffic consolidation for energy-proportional high-radix networks
G Kim, H Choi, J Kim
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture …, 2018
72018
History-based arbitration for fairness in processor-interconnect of numa servers
W Song, G Kim, H Jung, J Chung, JH Ahn, JW Lee, J Kim
Proceedings of the Twenty-Second International Conference on Architectural …, 2017
72017
Near-data processing in memory expander for DNN acceleration on GPUs
H Ham, H Cho, M Kim, J Park, J Hong, H Sung, E Park, E Lim, G Kim
IEEE Computer Architecture Letters 20 (2), 171-174, 2021
62021
Dynamic global adaptive routing in high-radix networks
H Kasan, G Kim, Y Yi, J Kim
Proceedings of the 49th Annual International Symposium on Computer …, 2022
42022
Scalable on-chip network in power constrained manycore processors
H Kim, G Kim, J Kim
2012 International Green Computing Conference (IGCC), 1-2, 2012
32012
Bandwidth-Effective DRAM Cache for GPUs with Storage-Class Memory
J Hong, S Cho, G Park, W Yang, YH Gong, G Kim
arXiv preprint arXiv:2403.09358, 2024
2024
The system can't perform the operation now. Try again later.
Articles 1–20