Follow
Masoumeh (Azin) Ebrahimi
Masoumeh (Azin) Ebrahimi
Associate professor at KTH, Sweden
Verified email at kth.se - Homepage
Title
Cited by
Cited by
Year
HARAQ: congestion-aware learning model for highly adaptive routing algorithm in on-chip networks
M Ebrahimi, M Daneshtalab, F Farahnakian, J Plosila, P Liljeberg, ...
2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, 19-26, 2012
1112012
Path-based partitioning methods for 3D networks-on-chip with minimal adaptive routing
M Ebrahimi, M Daneshtalab, P Liljeberg, J Plosila, J Flich, H Tenhunen
IEEE Transactions on Computers 63 (3), 718-733, 2012
1022012
CATRA-congestion aware trapezoid-based routing algorithm for on-chip networks
M Ebrahimi, M Daneshtalab, P Liljeberg, J Plosila, H Tenhunen
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 320-325, 2012
812012
Q-learning based congestion-aware routing algorithm for on-chip network
F Farahnakian, M Ebrahimi, M Daneshtalab, P Liljeberg, J Plosila
2011 IEEE 2nd International Conference on Networked Embedded Systems for …, 2011
812011
Fault-tolerant routing algorithm for 3D NoC using hamiltonian path strategy
M Ebrahimi, M Daneshtalab, J Plosila
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2013
782013
Minimal-path fault-tolerant approach using connection-retaining structure in networks-on-chip
M Ebrahimi, M Daneshtalab, J Plosila, H Tenhunen
2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 1-8, 2013
672013
DyXYZ: Fully adaptive routing algorithm for 3D NoCs
M Ebrahimi, X Chang, M Daneshtalab, J Plosila, P Liljeberg, H Tenhunen
2013 21st Euromicro International Conference on Parallel, Distributed, and …, 2013
672013
MD: minimal path-based fault-tolerant routing in on-chip networks
M Ebrahimi, M Daneshtalab, J Plosila, F Mehdipour
2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC), 35-40, 2013
662013
High performance fault-tolerant routing algorithm for NoC-based many-core systems
M Ebrahimi, M Daneshtalab, J Plosila
2013 21st Euromicro International Conference on Parallel, Distributed, and …, 2013
632013
Low-distance path-based multicast routing algorithm for network-on-chips
M Daneshtalab, M Ebrahimi, S Mohammadi, A Afzali-Kusha
IET computers & digital techniques 3 (5), 430-442, 2009
632009
NoC-based DNN accelerator: A future design paradigm
KC Chen, M Ebrahimi, TY Wang, YC Yang
Proceedings of the 13th IEEE/ACM international symposium on networks-on-chip …, 2019
592019
A resilient routing algorithm with formal reliability analysis for partially connected 3D-NoCs
R Salamat, M Khayambashi, M Ebrahimi, N Bagherzadeh
IEEE Transactions on Computers 65 (11), 3265-3279, 2016
592016
MAFA: Adaptive fault-tolerant routing algorithm for networks-on-chip
M Ebrahimi, M Daneshtalab, J Plosila, H Tenhunen
2012 15th Euromicro Conference on Digital System Design, 201-207, 2012
582012
An overview of efficient interconnection networks for deep neural network accelerators
SM Nabavinejad, M Baharloo, KC Chen, M Palesi, T Kogel, M Ebrahimi
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 10 (3 …, 2020
572020
EbDa: A new theory on design and verification of deadlock-free interconnection networks
M Ebrahimi, M Daneshtalab
Proceedings of the 44th Annual International Symposium on Computer …, 2017
572017
HAMUM-A novel routing protocol for unicast and multicast traffic in MPSoCs
M Ebrahimi, M Daneshtalab, P Liljeberg, H Tenhunen
2010 18th Euromicro Conference on Parallel, Distributed and Network-based …, 2010
572010
Memory-efficient on-chip network with adaptive interfaces
M Daneshtalab, M Ebrahimi, P Liljeberg, J Plosila, H Tenhunen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
552011
GeFeS: A generalized wrapper feature selection approach for optimizing classification performance
G Sahebi, P Movahedi, M Ebrahimi, T Pahikkala, J Plosila, H Tenhunen
Computers in biology and medicine 125, 103974, 2020
542020
A generic adaptive path-based routing method for MPSoCs
M Daneshtalab, M Ebrahimi, TC Xu, P Liljeberg, H Tenhunen
Journal of Systems Architecture 57 (1), 109-120, 2011
442011
A low-latency and memory-efficient on-chip network
M Daneshtalab, M Ebrahimi, P Liljeberg, J Plosila, H Tenhunen
2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, 99-106, 2010
432010
The system can't perform the operation now. Try again later.
Articles 1–20