Follow
Amit Kumar Singh
Title
Cited by
Cited by
Year
Mapping on multi/many-core systems: survey of current and emerging trends
AK Singh, M Shafique, A Kumar, J Henkel
Proceedings of the 50th Annual Design Automation Conference (DAC), 1-10, 2013
5362013
Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms
AK Singh, T Srikanthan, A Kumar, W Jigang
Journal of Systems Architecture 56 (7), 242-255, 2010
1792010
A survey and comparative study of hard and soft real-time dynamic resource allocation strategies for multi-/many-core systems
AK Singh, P Dziurzanski, HR Mendis, LS Indrusiak
ACM Computing Surveys (CSUR) 50 (2), 1-40, 2017
1042017
Accelerating Throughput-aware Runtime Mapping for Heterogeneous MPSoCs
AK SINGH, A KUMAR, T SRIKANTHAN
ACM Transactions on Design Automation of Electronic Systems (TODAES) 18 (1 …, 2013
892013
FoodSQRBlock: Digitizing Food Production and the Supply Chain with Blockchain and QR Code in the Cloud
S Dey, S Saha, AK Singh, K McDonald-Maier
Sustainability 13 (6), 3486, 2021
872021
Inter-cluster thread-to-core mapping and dvfs on heterogeneous multi-cores
BK Reddy, AK Singh, D Biswas, GV Merrett, BM Al-Hashimi
IEEE Transactions on Multi-Scale Computing Systems 4 (3), 369-382, 2017
812017
Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems
AK Singh, A Das, A Kumar
Proceedings of the 50th Annual Design Automation Conference (DAC), 115, 2013
652013
A hybrid strategy for mapping multiple throughput-constrained applications on MPSoCs
AK Singh, A Kumar, T Srikanthan
2011 Proceedings of the 14th International Conference on Compilers …, 2011
612011
Exploiting Loop-Array Dependencies to Accelerate the Design Space Exploration in High Level Synthesis
NK Pham, AK Singh, A Kumar, MMA Khin
IEEE Design, Automation & Test in Europe (DATE), 2015
592015
Energy efficient run-time mapping and thread partitioning of concurrent OpenCL applications on CPU-GPU MPSoCs
AK Singh, A Prakash, KR Basireddy, G Merrett, B Al-Hashimi
ACM Transactions on Embedded Computing Systems, 2017
542017
Mapping algorithms for noc-based heterogeneous mpsoc platforms
AK Singh, W Jigang, A Prakash, T Srikanthan
2009 12th Euromicro Conference on Digital System Design, Architectures …, 2009
482009
SmartNoshWaste: Using Blockchain, Machine Learning, Cloud Computing and QR Code to Reduce Food Waste in Decentralized Web 3.0 Enabled Smart Cities
S Dey, S Saha, AK Singh, K McDonald-Maier
Smart Cities 5 (1), 162-176, 2022
432022
Learning-based run-time power and energy management of multi/many-core systems: current and future trends
AK Singh, KR Basireddy, B Al-Hashimi, G Merrett
Journal of Low Power Electronics, 2017
402017
Dynamic Energy and Thermal Management of Multi-Core Mobile Platforms: A Survey
AK Singh, S Dey, KR Basireddy, K McDonald-Maier, G Merrett, ...
IEEE Design and Test, 7, 2020
382020
AdaMD: Adaptive Mapping and DVFS for Energy-Efficient Heterogeneous Multicores
KR Basireddy, AK Singh, BM Al-Hashimi, GV Merrett
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
382019
Resource and Throughput Aware Execution Trace Analysis for Efficient Run-time Mapping on MPSoCs
AK Singh, M Shafique, A Kumar, J Henkel
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2016
362016
Run-time mapping of multiple communicating tasks on MPSoC platforms
AK Singh, W Jigang, A Kumar, T Srikanthan
Procedia Computer Science 1 (1), 1019-1026, 2010
362010
SoCodeCNN: Program Source Code for Visual CNN Classification Using Computer Vision Methodology
S Dey, AK Singh, DK Prasad, KD Mcdonald-Maier
IEEE Access 7, 157158-157172, 2019
332019
Edgecoolingmode: An agent based thermal management mechanism for dvfs enabled heterogeneous mpsocs
S Dey, EZ Guajardo, KR Basireddy, X Wang, AK Singh, ...
2019 32nd International Conference on VLSI Design and 2019 18th …, 2019
322019
Bubble budgeting: Throughput optimization for dynamic workloads by exploiting dark cores in many core systems
X Wang, AK Singh, B Li, Y Yang, H Li, T Mak
IEEE Transactions on Computers 67 (2), 178-192, 2017
322017
The system can't perform the operation now. Try again later.
Articles 1–20