Follow
Juan Sebastian Giraldo
Juan Sebastian Giraldo
Verified email at uantwerpen.be
Title
Cited by
Cited by
Year
ZigZag: Enlarging joint architecture-mapping design space exploration for DNN accelerators
L Mei, P Houshmand, V Jain, S Giraldo, M Verhelst
IEEE Transactions on Computers 70 (8), 1160-1174, 2021
106*2021
Vocell: A 65-nm Speech-Triggered Wake-Up SoC for 10- W Keyword Spotting and Speaker Verification
JSP Giraldo, S Lauwereins, K Badami, M Verhelst
IEEE Journal of Solid-State Circuits 55 (4), 868-878, 2020
812020
Laika: A 5uW programmable LSTM accelerator for always-on keyword spotting in 65nm CMOS
JSP Giraldo, M Verhelst
ESSCIRC 2018-IEEE 44th European Solid State Circuits Conference (ESSCIRC …, 2018
772018
Diana: An end-to-end energy-efficient digital and analog hybrid neural network soc
K Ueyoshi, IA Papistas, P Houshmand, GM Sarda, V Jain, M Shi, Q Zheng, ...
2022 IEEE International Solid-State Circuits Conference (ISSCC) 65, 1-3, 2022
492022
18μW SoC for near-microphone keyword spotting and speaker verification
JSP Giraldo, S Lauwereins, K Badami, H Van Hamme, M Verhelst
2019 Symposium on VLSI Circuits, C52-C53, 2019
482019
TinyVers: A 0.8-17 TOPS/W, 1.7 μW-20 mW, tiny versatile system-on-chip with state-retentive eMRAM for machine learning inference at the extreme edge
V Jain, S Giraldo, J De Roose, B Boons, L Mei, M Verhelst
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2022
212022
Efficient execution of temporal convolutional networks for embedded keyword spotting
JSP Giraldo, V Jain, M Verhelst
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 29 (12 …, 2021
162021
Tinyvers: A tiny versatile system-on-chip with state-retentive eMRAM for ML inference at the extreme edge
V Jain, S Giraldo, J De Roose, L Mei, B Boons, M Verhelst
IEEE Journal of Solid-State Circuits, 2023
142023
Efficient keyword spotting through hardware-aware conditional execution of deep neural networks
JSP Giraldo, C O'Connor, M Verhelst
2019 IEEE/ACS 16th International Conference on Computer Systems and …, 2019
92019
Hardware acceleration for embedded keyword spotting: Tutorial and survey
JSP Giraldo, M Verhelst
ACM Transactions on Embedded Computing Systems (TECS) 20 (6), 1-25, 2021
72021
Leveraging compiler support on VLIW processors for efficient power gating
JSP Giraldo, L Carro, S Wong, ACS Beck
2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 502-507, 2016
42016
Evaluation of energy savings on a VLIW processor through dynamic issue-width adaptation
JSP Giraldo, AL Sartor, L Carro, S Wong, ACS Beck
2015 International Symposium on Rapid System Prototyping (RSP), 11-17, 2015
32015
VERSA: A 0.8-17.1 TOPS/W, 1.68 uW-20 mW, versatile system-on-chip with state-retentive eMRAM for machine learning inference
V Jain, S Giraldo, M Verhelst
2022 IEEE International Solid-State Circuits Conference (ISSCC), Location …, 2022
2022
Embedded ML for Efficient Keyword Spotting
JS Piedrahita Giraldo
2021
Adaptable VLIW microprocessor for energy efficiency
JSP Giraldo
2016
The system can't perform the operation now. Try again later.
Articles 1–15