L'indice h5 est l'indice h des articles publiés au cours des cinq dernières années révolues. Il correspond à la valeur la plus élevée de h de façon que h articles publiés entre 2018 et 2022 sont cités au moins h fois chacun.masquer
La médiane h5 d'une publication correspond au nombre médian de fois que les articles composant son indice h5 sont cités.masquer
Publication | Indice h5 | Médiane h5 | |
---|---|---|---|
1. | IEEE Journal of Solid-State Circuits | 72 | 100 |
2. | IEEE Transactions on Circuits and Systems I: Regular Papers | 68 | 96 |
3. | IEEE Transactions on Circuits and Systems II: Express Briefs | 68 | 81 |
4. | IEEE International Solid-State Circuits Conference | 66 | 97 |
5. | Design Automation Conference (DAC) | 60 | 80 |
6. | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 58 | 92 |
7. | IEEE/ACM International Symposium on Microarchitecture | 57 | 92 |
8. | IEEE Transactions on Computers | 51 | 72 |
9. | IEEE Transactions on Very Large Scale Integration (VLSI) Systems | 49 | 77 |
10. | Design, Automation & Test in Europe Conference & Exhibition, DATE | 49 | 64 |
11. | Microprocessors and Microsystems | 44 | 63 |
12. | IEEE/ACM International Conference on Computer-Aided Design (ICCAD) | 43 | 57 |
13. | IEEE International Symposium on Circuits and Systems | 41 | 56 |
14. | IEEE Journal on Emerging and Selected Topics in Circuits and Systems | 40 | 62 |
15. | International Conference on Computer Aided Verification (CAV) | 38 | 56 |
16. | Symposium on Field Programmable Gate Arrays (FPGA) | 37 | 77 |
17. | Asia and South Pacific Design Automation Conference (ASP-DAC) | 36 | 46 |
18. | IEEE Symposium on VLSI Circuits (VLSIC) | 34 | 45 |
19. | IEEE Symposium on Field-Programmable Custom Computing Machines | 30 | 51 |
20. | Journal of Circuits, Systems and Computers | 30 | 38 |
Le nombre de citations et les dates sont déterminés automatiquement par un programme informatique.